Questions on Memory Blocks

Home Forums General Programming Questions on Memory Blocks

Viewing 2 posts - 1 through 2 (of 2 total)
  • Author
    Posts
  • #73466
    Puppeteer
    Participant
      • Topics: 16
      • Replies: 185
      • Total: 201
      • ★★

      I’m currently looking at bank management of sysex dumps and am looking at memory blocks. Am I correct in assuming that memory blocks are essentially unstructured data, and that any management within them needs to be programmed?

      For example, it’s not possible to use table’s within memory blocks, instead I need to devise my own routines for keeping track of the data within?

      Can you have tables of memory blocks (ie 1 sysex dump as a memory block for each table entry)?

      Finally, what’s the advantage of using memory blocks over say tables internally and strings inside files for loading and saving?

      The Puppeteer
      http://godlike.com.au

      #73467
      human fly
      Participant
        • Topics: 124
        • Replies: 1070
        • Total: 1194
        • ★★★★

        trying to remember what i was coming up with recently:
        (the ‘MIDI txrx’ panels/topic i was messing around with)

        to print a memory block to console, i had to use toHexString().

        that was a table assigned to a variable assigned to a memory block.

        just printing the memory block variable crashes Ctrlr.
        i did get some other printout with it, cannot find what it was
        right now but it was not hex bytes.

        you can getData/getLuaData on a memory block though. (that’s why i wanted
        to put the data in a memory block)

        someone else can explain this better no doubt.

      Viewing 2 posts - 1 through 2 (of 2 total)
      • The forum ‘Programming’ is closed to new topics and replies.
      There is currently 0 users and 72 guests online
      No users are currently active
      Forum Statistics
      Threads: 2,495, Posts: 17,374, Members: 77,605
      Most users ever online was 12 on January 22, 2019 3:47 pm
      Ctrlr